Part Number Hot Search : 
3PSF220 SI842 27C30 1209SH 00BF1 DTA114 C0251 CS842
Product Description
Full Text Search
 

To Download AD112045Z-0REEL7 Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  rev. 0 information furnished by analog devices is believed to be accurate and reliable. however, no responsibility is assumed by analog devices for its use, nor for any infringements of patents or other rights of third parties which may result from its use. no license is granted by implication or otherwise under any patent or patent rights of analog devices. a +2.7 v to +5.5 v, serial input, dual voltage output 8-bit dac ad7303 functional block diagram i dac a power on reset din sync sclk ref v dd ad7303 v out a v out b gnd i/v input register mux dac register i/v input register dac register ? 2 16-bit shift register data (8) control (8) i dac b features two 8-bit dacs in one package 8-pin dip/soic and microsoic packages +2.7 v to +5.5 v operation internal & external reference capability individual dac power-down function three-wire serial interface qspi?, spi? and microwire? compatible on-chip output buffer rail-to-rail operation on-chip control register low power operation: 2.3 ma @ 3.3 v full power-down to 1 m a max, typically 80 na applications portable battery powered instruments digital gain and offset adjustment programmable voltage and current sources programmable attenuators product highlights 1. low power, single supply operation. this part operates from a single +2.7 v to +5.5 v supply and consumes typically 15 mw at 5.5 v, making it ideal for battery powered applications. 2. the on-chip output buffer amplifiers allow the outputs of the dacs to swing rail to rail with a settling time of typically 1.2 m s. 3. internal or external reference capability. 4. high speed serial interface with clock rates up to 30 mhz. 5. individual power-down of each dac provided. when com- pletely powered down, the dac consumes typically 80 na. general description the ad7303 is a dual, 8-bit voltage out dac that operates from a single +2.7 v to +5.5 v supply. its on-chip precision out- put buffers allow the dac outputs to swing rail to rail. this de- vice uses a versatile 3-wire serial interface that operates at c lock rates up to 30 mhz, and is compatible with qspi, spi, micro wire and digital signal processor interface standards. the serial input register is sixteen bits wide; 8 bits act as data bits for the dacs, and the remaining eight bits make up a control register. the on-chip control register is used to address the relevant dac, to power down the complete device or an individual dac, to select internal or external reference and to provide a synchronous loading facility for simultaneous update of the dac outputs with a software ldac function. the low power consumption of this part makes it ideally suited to portable battery operated equipment. the power consump- tion is 7.5 mw max at 3 v, reducing to less than 3 m w in full power-down mode. the ad7303 is available in an 8-pin plastic dual in-line pack- age, 8-lead soic and microsoic packages. qspi and spi are trademarks of motorola. microwire is a trademark of national semiconductor. one technology way, p.o. box 9106, norwood, ma 02062-9106, u.s.a. tel: 617/329-4700 world wide web site: http://www.analog.com fax: 617/326-8703 ? analog devices, inc., 1997
rev. 0 C2C ad7303Cspecifications (v dd = +2.7 v to +5.5 v, internal reference; r l = 10 k v to v dd and gnd; c l = 100 pf to gnd; all specifications t min to t max unless otherwise noted) parameter b versions 1 units conditions/comments static performance resolution 8 bits relative accuracy 1 lsb max note 2 differential nonlinearity 1 lsb max guaranteed monotonic zero-code error @ +25 c 3 lsb max all zeros loaded to dac register full-scale error C0.5 lsb typ all ones loaded to dac register gain error 3 +1 % fsr typ zero-code temperature coefficient 100 m v/ c typ dac reference input refin input range 1 to v dd /2 v min to max refin input impedance 10 mw typ internal voltage reference error 4 1 % max output characteristics output voltage range 0 to v dd v min to max output voltage settling time 2 m s max typically 1.2 m s slew rate 7.5 v/ m s typ digital to analog glitch impulse 0.5 nv-s typ 1 lsb change around major carry digital feedthrough 0.2 nv-s typ digital crosstalk 0.2 nv-s typ analog crosstalk 0.2 lsb typ dc output impedance 40 w typ short circuit current 14 ma typ power supply rejection ratio 0.0001 %/% max d v dd = 10% logic inputs input current 10 m a max v inl , input low voltage 0.8 v max v dd = +5 v 0.6 v max v dd = +3 v v inh , input high voltage 2.4 v min v dd = +5 v 2.1 v min v dd = +3 v pin capacitance 5 pf max power requirements v dd 2.7/5.5 v min/max i dd (normal mode) both dacs active and excluding load currents, v dd = 3.3 v v ih = v dd , v il = gnd @ +25 c 2.1 ma max see figure 8 t min C t max 2.3 ma max v dd = 5.5 v @ +25 c 2.7 ma max t min C t max 3.5 ma max i dd (full power-down) @ +25 c 80 na typ v ih = v dd , v il = gnd t min C t max 1 m a max see figure 19 notes 1 temperature ranges are as follows: b version, C40 c to +105 c. 2 relative accuracy is calculated using a reduced digital code range of 15 to 245. 3 gain error is specified between codes 15 and 245. the actual error at code 15 is typically 3 lsb. 4 internal voltage reference error = (actual v ref C ideal v ref /ideal v ref ) ? 100. ideal v ref = v dd /2, actual v ref = voltage on reference pin when internal reference is selected. specifications subject to change without notice. ordering guide temperature package model range options* ad7303bn C40 c to +105 c n-8 ad7303br C40 c to +105 c so-8 ad7303brm C40 c to +105 c rm-8 *n = plastic dip; r = soic; rm = microsoic.
ad7303 C3C rev. 0 timing characteristics 1, 2 parameter limit at t min , t max (b version) units conditions/comments t 1 33 ns min sclk cycle time t 2 13 ns min sclk high time t 3 13 ns min sclk low time t 4 5 ns min sync setup time t 5 5 ns min data setup time t 6 4.5 ns min data hold time t 7 4.5 ns min sync hold time t 8 33 ns min minimum sync high time notes 1 sample tested at +25 c to ensure compliance. all input signals are specified with tr = tf = 5 ns (10% to 90% of v dd ) and timed from a voltage level of (v il + v ih )/2, tr and tf should not exceed 1 m s on any input. 2 see figures 1 and 2. sclk (i) sync (i) din (i) db15 db0 t 5 t 6 t 2 t 3 t 4 t 7 t 4 t 8 t 1 figure 1. timing diagram for continuous 16-bit write sclk (i) sync (i) din (i) db15 db8 t 5 t 6 t 2 t 3 t 4 t 7 db7 db0 t 5 t 6 t 8 t 1 figure 2. timing diagram for 2 8-bit writes (v dd = +2.7 v to +5.5 v; gnd = 0 v; reference = internal v dd /2 reference; all specifications t min to t max unless otherwise noted)
ad7303 C4C rev. 0 absolute maximum ratings* (t a = +25 c unless otherwise noted) v dd to gnd . . . . . . . . . . . . . . . . . . . . . . . . . . C0.3 v to +7 v reference input voltage to gnd . . . . C0.3 v to v dd + 0.3 v digital input voltage to gnd . . . . . . . C0.3 v to v dd + 0.3 v v out a, v out b to gnd . . . . . . . . . . . C0.3 v to v dd + 0.3 v operating temperature range commercial (b version) . . . . . . . . . . . . . C40 c to +105 c storage temperature range . . . . . . . . . . . . C65 c to +150 c junction temperature . . . . . . . . . . . . . . . . . . . . . . . . . +150 c plastic dip package, power dissipation . . . . . . . . . . 800 mw q ja thermal impedance . . . . . . . . . . . . . . . . . . . . . 117 c/w lead temperature (soldering, 10 sec) . . . . . . . . . . . +260 c warning! esd sensitive device caution esd (electrostatic discharge) sensitive device. electrostatic charges as high as 4000 v readily accumulate on the human body and test equipment and can discharge without detection. although the ad7303 features proprietary esd protection circuitry, permanent damage may occur on devices subjected to high energy electrostatic discharges. therefore, proper esd precautions are recommended to avoid performance degradation or loss of functionality. pin configurations (dip, soic and microsoic) 1 2 3 4 8 7 6 5 top view (not to scale) ad7303 v out a sclk din sync v out b v dd gnd ref pin function descriptions pin no. mnemonic function 1v out a analog output voltage from dac a. the output amplifier swings rail to rail on its output. 2v dd power supply input. these parts can be operated from +2.7 v to +5.5 v and should be decoupled to gnd. 3 gnd ground reference point for all circuitry on the part. 4 ref external reference input. this can be used as the reference for both dacs, and is selected by setting the int /ext bit in the control register to a logic one. the range on this reference input is 1 v to v dd /2. when the internal reference is selected, this voltage will appear as an output for decoupling purposes at the ref pin. when using the internal reference, external voltages should not be connected to the ref pin, see figure 21. 5 sclk serial clock. logic input. data is clocked into the input shift register on the rising edge of the serial clock input. data can be transferred at rates up to 30 mhz. 6 din serial data input. this device has a 16-bit shift register, 8 bits for data and 8 bits for control. data is clocked into the register on the rising edge of the clock input. 7 sync level triggered control input (active low). this is the frame synchronization signal for the input data. when sync goes low, it enables the input shift register and data is transferred in on the rising edges of the following clocks. the rising edge of the sync causes the relevant registers to be updated. 8v out b analog output voltage from dac b. the output amplifier swings rail to rail on its output. soic package, power dissipation . . . . . . . . . . . . . . . 450 mw q ja thermal impedance . . . . . . . . . . . . . . . . . . . . . 157 c/w lead temperature, soldering vapor phase (60 sec) . . . . . . . . . . . . . . . . . . . . . . +215 c infrared (15 sec) . . . . . . . . . . . . . . . . . . . . . . . . . . +220 c microsoic package, power dissipation . . . . . . . . . . 450 mw q ja thermal impedance . . . . . . . . . . . . . . . . . . . . . 206 c/w lead temperature, soldering vapor phase (60 sec) . . . . . . . . . . . . . . . . . . . . . . +215 c infrared (15 sec) . . . . . . . . . . . . . . . . . . . . . . . . . . +220 c *stresses above those listed under absolute maximum ratings may cause permanent damage to the device. this is a stress rating only; functional operation of the device at these or any other conditions above those listed in the operational sections of this specification is not implied. exposure to absolute maximum rating conditions for extended periods may affect device reliability.
ad7303 C5C rev. 0 terminology integral nonlinearity for the dacs, relative accuracy or endpoint nonlinearity is a measure of the maximum deviation, in lsbs, from a straight line passing through the endpoints of the dac transfer func- tion. a graphical representation of the transfer curve is shown in figure 15. differential nonlinearity differential nonlinearity is the difference between the measured change and the ideal 1 lsb change of any two adjacent codes. a specified differential nonlinearity of 1 lsb maximum ensures monotonicity. zero code error zero code error is the measured output voltage from v out of either dac when zero code (all zeros) is loaded to the dac latch. it is due to a combination of the offset errors in the dac and output amplifier. zero-scale error is expressed in lsbs. gain error this is a measure of the span error of the dac. it is the devia- tion in slope of the dac transfer characteristic from ideal expressed as a percent of the full-scale value. gain error is calcu- lated between codes 15 and 245. full-scale error full-scale error is a measure of the output error when the dac latch is loaded with ff hex. full-scale error includes the offset error. digital-to-analog glitch impulse digital-to-analog glitch impulse is the impulse injected into the analog output when the digital inputs change state with the dac selected and the software ldac used to update the dac. it is normally specified as the area of the glitch in nv-s and is measured when the digital input code is changed by 1 lsb at the major carry transition. digital feedthrough digital feedthrough is a measure of the impulse injected into the analog output of a dac from the digital inputs of the same dac, but is measured when the dac is not updated. it is specified in nv-s and measured with a full-scale code change on the data bus, i.e., from all 0s to all 1s and vice versa. digital crosstalk digital crosstalk is the glitch impulse transferred to the output of one converter due to a digital code change to another dac. it is specified in nv-s. analog crosstalk analog crosstalk is a change in output of any dac in response to a change in the output of the other dac. it is measured in lsbs. power supply rejection ratio (psrr) this specification indicates how the output of the dac is affected by changes in the power supply voltage. power supply rejection ratio is quoted in terms of % change in output per % of change in v dd for full-scale output of the dac. v dd is varied 10%. this specification applies to an external reference only because the output voltage will track the v dd voltage when in- ternal reference is selected.
ad7303Ctypical performance characteristics C6C rev. 0 v dd = +5v and +3v internal reference t a = 25 8 c dac loaded with 00hex sink current ?ma v out ?mv 800 0 08 24 6 720 400 249 160 80 640 560 320 480 figure 3. output sink current capa- bility with v dd = 3 v and v dd = 5 v reference voltage ?volts error ?lsbs 0.5 0 1 1.2 2.8 1.4 1.6 1.8 2.2 2.4 2.6 2 0.45 0.25 0.15 0.1 0.05 0.4 0.35 0.2 0.3 v dd = +5v t a = 25 8 c inl error dnl error figure 6. relative accuracy vs. external reference frequency ?khz attenuation ?db 1 10 10000 100 1000 10 5 ?0 0 ? ?0 ?5 ?0 ?5 ?0 ?5 v dd = +5v t a = 25 c external sine wave reference dac register loaded with ffhex figure 9. large scale signal frequency response source current ?ma v out ?volts 02 8 46 5 4.92 4.2 4.84 4.76 4.68 4.6 4.52 4.44 4.36 4.28 v dd = +5v t a = 25 c internal reference dac register loaded with ffhex figure 4. output source current capability with v dd = 5 v ?0 ?0 ?0 0 20 40 60 80 100 120 140 temperature ? 8 c 5 3.5 2 i dd ?ma 4.5 4 3 2.5 logic inputs = v dd or gnd internal reference v dd = +5v logic inputs = v ih or v il figure 7. supply current vs. temperature t sync v out v dd = +3v internal voltage reference full scale code change 00h-ffh t a = 25 c 1 3 2 v out ch1 5v, ch2 1v, ch3 20mv time base = 200ns/div figure 10. full-scale settling time source current ?ma 3.5 1 01 8 234567 3.25 2.5 2.25 1.75 1.25 3 2.75 2 1.5 v out ?volts v dd = +3v t a = 25 c internal reference dac register loaded with ffhex figure 5. output source current capability with v dd = 3 v v dd ?volts i dd ?ma 5.5 1.5 5 3.5 3 2.5 2 4.5 4 2.5 3 5.5 3.5 4 4.5 5 logic inputs = v dd or gnd logic inputs = v ih or v il t a = 25 c internal reference figure 8. supply current vs. supply voltage sync v out power up time v dd = +5v internal reference both dacs in power down initially 1 2 ch1 = 2v/div, ch2 = 5v/div, time base = 2?/div figure 11. exiting power-down (full power-down)
ad7303 C7C rev. 0 t ? dac a = normal operation dac b initially in power down 1 2 v out b sync dac b exiting power down ch1 2v, ch2 5v, m 500ns v dd = +5v internal reference t a = 25 8 c figure 12. exiting power-down (partial power-down) input code (10 to 245) inl error ?lsb 0 255 32 64 96 128 160 192 224 dac b dac a v dd = +5v internal reference 5k w 100pf load limited code range (10-245) t a = 25 c ?.5 0.4 0.1 ?.1 ?.3 ?.4 0.3 0.2 0 ?.2 0.5 figure 15. integral linearity plot i dd ?ma 05 0.5 1 1.5 2 2.5 3 3.5 4 4.5 4 0 7 6 2 1 5 3 v dd = +5v v dd = +3v figure 13. supply current vs. logic input voltage v dd = +5v internal reference 0.5 0.4 0.3 0.2 0.1 0 ?.1 ?.2 ?.3 ?.4 ?.5 ?0 ?0 ?0 0 20 40 60 80 100 120 140 inl error ?lsb temperature ? 8 c figure 16. typical inl vs. temperature 2 1 v out ch1 5.00v, ch2 50.0mv, m 250ns sync v dd = +5v internal voltage reference 10 lsb step change t a = 25 8 c figure 14. small scale settling time v dd = +5v internal reference 0.5 0.4 0.3 0.2 0.1 0 ?.1 ?.2 ?.3 ?.4 ?.5 ?0 ?0 ?0 0 20 40 60 80 100 120 140 temperature ? 8 c dnl error ?lsb figure 17. typical dnl vs. temperature temperature ? 8 c 500 400 200 100 0 300 ?0 0 50 100 150 v dd = +5.5v v il and v ih = 0v or v dd power-down current ?na ?5 25 75 125 figure 19. power-down current vs. temperature v dd = +5v 0.6 0.4 0.2 0 ?0 ?0 ?0 0 20 40 60 80 100 120 140 temperature ? 8 c int reference error ? 6 % 0.8 1.0 figure 18. typical internal reference error vs. temperature
ad7303 C8C rev. 0 general description d/a section the ad7303 is a dual 8-bit voltage output digital-to-analog converter. the architecture consists of a reference amplifier and a current source dac, followed by a current-to-voltage con- verter capable of generating rail-to-rail voltages on the output of the dac. figure 20 shows a block diagram of the basic dac architecture. reference amplifier output amplifier v o a/b v dd ref ad7303 current dac 11.7k w 30k w 11.7k w 30k w figure 20. dac architecture both dac a and dac b outputs are internally buffered and these output buffer amplifiers have rail-to-rail output character- istics. the output amplifier is capable of driving a load of 10 k w to both v dd and ground and 100 pf to ground. the reference selection for the dac can be either internally generated from v dd or externally applied through the ref pin. reference selection is via a bit in the control register. the range on the exter nal reference input is from 1.0 v to v dd /2. the output voltage from either dac is given by: v o a/b = 2 v ref ( n /256) where: v ref is the voltage applied to the external ref pin or v dd /2 when the internal reference is selected. n is the decimal equivalent of the code loaded to the dac register and ranges from 0 to 255. reference the ad7303 has the facility to use either an external reference applied through the ref pin or an internal reference generated from v dd . figure 21 shows the reference input arrangement where the internal v dd /2 has been selected. 30k w 30k w reference amplifier ad7303 ref 0.1? v dd int /ext figure 21. reference input when the internal reference is selected during the write to the dac, both switches are closed and v dd /2 is generated and applied to the reference amplifier. this internal v dd /2 reference appears at the reference pin as an output voltage for decoupling purposes. when using the internal reference, external references should not be con nected to the ref pin. this internal v dd /2 reference appears at the reference pin as an output voltage for decoupling purposes. when using the internal reference, external references should not be connected to the ref pin. if exter nal ref- erence is selected, both switches are open and the externally applied voltage to the ref pin is applied to the reference amplifier. decoupling capacitors applied to the ref pin decouple both the internal reference and external reference. in noisy environ- ments it is recommended that a 0.1 m f capacitor be connected to the ref pin to provide added decoupling even when the in- ternal reference is selected. analog outputs the ad7303 contains two independent voltage output dacs with 8-bit resolution and rail-to-rail operation. the output buffer provides a gain of two at the output. figures 3 to 5 show the sink and source capabilities of the output amplifier. the slew rate of the output amplifier is typically 8 v/ m s and has a full-scale settling to 8 bits with a 100 pf capacitive load in typically 1.2 m s. the input coding to the dac is straight binary. table i shows the binary transfer function for the ad7303. figure 22 shows the dac transfer function for binary coding. any dac output voltage can ideally be expressed as: v out = 2 v ref ( n /256) where: n is the decimal equivalent of the binary input code. n ranges from 0 to 255. v ref is the voltage applied to the external ref pin when the external reference is selected and is v dd /2 if the internal reference is used. table i. binary code table for ad7303 dac digital input msb . . . lsb analog output 1111 1111 2 255/256 v ref v 1111 1110 2 254/256 v ref v 1000 0001 2 129/256 v ref v 1000 0000 v ref v 0111 1111 2 127/256 v ref v 0000 0001 2 v ref /256 v 0000 0000 0 v 2.v ref v ref 0 dac output voltage 00 01 dac input code ff 80 81 fe 7f figure 22. dac transfer function
ad7303 C9C rev. 0 grammed to transfer data in 16-bit words. after clocking all six- teen bits to the shift register, the rising edge of sync executes the programmed function. the dacs are double buffered which allows their outputs to be simultaneously updated. input shift register description the input shift register is 16 bits wide. the first eight bits con- sist of control bits and the last eight bits are data bits. figure 23 shows a block diagram of the logic interface on the ad7303 dac. the seven bits in the control word are taken from the in- put shift register to a latch sequencer that decodes this data and provides output signals that control the data transfers to the in- put and data registers of the selected dac, as well as output updating and various power-down features associated with the control section. a description of all bits contained in the input shift register is given below. serial interface the ad7303 contains a versatile 3-wire serial interface that is compatible with spi, qspi and microwire interface stan- dards as well as a host of digital signal processors. an active low sync enables the shift register to receive data from the serial data input din. data is clocked into the shift register on the rising edge of the serial clock. the serial clock frequency can be as high as 30 mhz. this shift register is 16 bits wide as shown in figures 23 and 24. the first eight bits are control bits and the second eight bits are data bits for the dacs. each transfer must consist of a 16-bit transfer. data is sent msb first and can be transmitted in one 16-bit write or two 8-bit writes. spi and microwire interfaces output data in 8-bit bytes and thus require two 8-bit transfers. in this case the sync input to the dac should remain low until all sixteen bits have been transferred to the shift register. qspi interfaces can be pro- db0 db1 db2 db3 db4 db5 db6 db7 int/ ext cr0 cr1 a/ b pda pdb ldac x 8 8 latch sequencer 7 msb lsb dac a power-down dac b power-down bandgap power-down latch & clk drivers 16 ref selector int reference current switch clock bus ref resistor switch dac a bias dac b bias 16-bit shift register din sync dac register 30 dac a v out a 30 8 to 32 decoder input register 8 sync sclk bandgap bias gen 8 dac register 30 dac b v out b 30 8 to 32 decoder input register 8 figure 23. logic interface on the ad7303
ad7303 C10C rev. 0 bit location mnemonic description db15 int /ext selects between internal and external reference. db14 x uncommitted bit. db13 ldac load dac bit for synchronous update of dac outputs. db12 pdb power-down dac b. db11 pda power-down dac a. db10 a /b address bit to select either dac a or dac b. db9 cr1 control bit 1 used in conjunction with cr0 to implement the various data loading functions. db8 cr0 control bit 0 used in conjunction with cr1 to implement the various data loading functions. db7Cdb0 data these bits contain the data used to update the output of the dacs. db7 is the msb and db0 the lsb of the 8-bit data word. control bits ldac a /b cr1 cr0 function implemented 0 x 0 0 both dac registers loaded from shift register. 0 0 0 1 update dac a input register from shift register. 0 1 0 1 update dac b input register from shift register. 0 0 1 0 update dac a dac register from input register. 0 1 1 0 update dac b dac register from input register. 0 0 1 1 update dac a dac register from shift register. 0 1 1 1 update dac b dac register from shift register. 1 0 x x load dac a input register from shift register and update both dac a and dac b dac registers. 1 1 x x load dac b input register from shift register and update both dac a and dac b dac registers outputs. int /ext function 0 internal v dd /2 reference selected. 1 external reference selected; this external reference is applied at the ref pin and ranges from 1 v to v dd /2. pda pdb function 0 0 both dacs active. 0 1 dac a active and dac b in power-down mode. 1 0 dac a in power-down mode and dac b active. 1 1 both dacs powered down. db15 (msb) db0 (lsb) int /ext x ldac pdb pba a /b cr1 cr0 db7 db6 db5 db4 db3 db2 db1 db0 |?control bits ??data bits ? figure 24. input shift register contents
ad7303 C11C rev. 0 power-on reset the ad7303 has a power-on reset circuit designed to allow output stability during power-up. this circuit holds the dacs in a reset state until a write takes place to the dac. in the reset state all zeros are latched into the input registers of each dac, and the dac reg- isters are in transparent mode. thus the output of both dacs are held at ground potential until a write takes place to the dac. power-down features two bits in the control section of the 16-bit input word are used to put the ad7303 into low power mode. dac a and dac b can be powered down separately. when both dacs are powered down, the current consumption of the device is reduced to less than 1 m a, making the device suitable for use in portable battery powered equipment. the reference bias servo loop, the output amplifiers and associated linear circuitry are all shut down when the power- down is activated. the output sees a load of approximately 23 k w to gnd when in power-down mode as shown in figure 25. the contents of the data registers are unaffected when in power-down mode. the time to exit power-down is determined by the nature of the power-down, if the device is fully powered down the bias gen- erator is also powered down and the device takes typically 13 m s to exit power-down mode. if the device is only partially powered down, i.e., only one channel powered down, in this case the bias generator is active and the time required for the power-down chan- nel to exit this mode is typically 1.6 m s. see figures 11 and 12. v o a/b v dd 11.7k w 11.7k w v ref i dac figure 25. output stage during power-down microprocessor interfacing ad7303 to adsp-2101/adsp-2103 interface figure 26 shows a serial interface between the ad7303 and the adsp-2101/adsp-2103. the adsp-2101/adsp-2103 should be set up to operate in the sport transmit alternate framing mode. the adsp-2101/adsp-2103 sport is programmed through the sport control register and should be configured as follows: internal clock operation, active low framing, 16-bit word length. transmission is initiated by writing a word to the tx register after the sport has been enabled. the data is clocked out on each falling edge of the serial clock and clocked into the ad7303 on the rising edge of the sclk. sclk adsp-2101/ adsp-2103* dt *additional pins omitted for clarity sync din sclk ad7303* tfs figure 26. ad7303 to adsp-2101/adsp-2103 interface ad7303 to 68hc11/68l11 interface figure 27 shows a serial interface between the ad7303 and the 68hc11/68l11 microcontroller. sck of the 68hc11/68l11 drives the clkin of the ad7303, while the mosi output drives the serial data line of the dac. the sync signal is derived from a port line (pc7). the setup conditions for cor- rect operation of this interface are as follows: the 68hc11/ 68l11 should be configured so that its cpol bit is a 0 and its cpha bit is a 0. when data is being transmitted to the dac, the sync line is taken low (pc7). when the 68hc11/68l11 is configured as above, data appearing on the mosi output is valid on the rising edge of sck. serial data from the 68hc11/ 68l11 is transmitted in 8-bit bytes with only eight falling clock edges occurring in the transmit cycle. data is transmitted msb first. in order to load data to the ad7303, pc7 is left low after the first eight bits are transferred, and a second serial write op- eration is performed to the dac and pc7 is taken high at the end of this procedure. sclk 68hc11/68l11* sck *additional pins omitted for clarity sync din mosi ad7303* pc7 figure 27. ad7303 to 68hc11/68l11 interface ad7303 to 80c51/80l51 interface figure 28 shows a serial interface between the ad7303 and the 80c51/80l51 microcontroller. the setup for the interface is as follows: txd of the 80c51/80l51 drives sclk of the ad7303, while rxd drives the serial data line of the part. the sync signal is again derived from a bit programmable pin on the port. in this case port line p3.3 is used. when data is to be transmit- ted to the ad7303, p3.3 is taken low. the 80c51/80l51 trans- mits data only in 8-bit bytes; thus only eight falling clock edges occur in the transmit cycle. to load data to the dac, p3.3 is left low after the first eight bits are transmitted, and a second write cycle is initiated to transmit the second byte of data. p3.3 is taken high following the completion of this cycle. the 80c51/ 80l51 outputs the serial data in a format which has the lsb first. the ad7303 requires its data with the msb as the first bit received. the 80c51/80l51 transmit routine should take this into account. sclk 80c51/80l51* txd *additional pins omitted for clarity sync sdin rxd ad7303* p3.3 figure 28. ad7303 to 80c51/80l51 interface
ad7303 C12C rev. 0 ad7303 to microwire interface figure 29 shows an interface between the ad7303 and any microwire compatible device. serial data is shifted out on the falling edge of the serial clock and is clocked into the ad7303 on the rising edge of the sk. sclk microwire* sk *additional pins omitted for clarity sync din so ad7303* cs figure 29. ad7303 to microwire interface applications typical application circuit figure 30 shows a typical setup for the ad7303 when using an external reference. the reference range for the ad7303 is from 1 v to v dd /2 v. higher values of reference can be incorporated but will saturate the output at both the top and bottom end of the transfer function. from input to output on the ad7303 there is a gain of two. suitable references for 5 v operation are the ad780 and ref192. for 3 v operation, a suitable external reference would be the ad589, a 1.23 v bandgap reference. ad7303 v out a v out b 10? 0.1? v dd = +3v to +5v v dd gnd ad780/ ref192 with v dd = +5v or ad589 with v dd = +3v ref sclk din sync gnd v out v in 0.1? serial interface ext ref figure 30. ad7303 using external reference the ad7303 can also be used with its own internally derived v dd /2 reference. reference selection is through the int /ext bit of the 16-bit input word. the internal reference, when selected, is also provided as an output at the ref pin and can be decoupled at this point with a 0.1 m f capacitor for noise reduction purposes. ac references can also be applied as exter- nal references to the ad7303. the ad7303 has limited multi- plying capability, and a multiplying bandwidth of up to 10 khz is achievable. bipolar operation using the ad7303 the ad7303 has been designed for single supply operation, but bipolar operation is achievable using the circuit shown in figure 31. the circuit shown has been configured to achieve an output voltage range of C5 v < v o < +5 v. rail-to-rail operation at the amplifier output is achievable using an ad820 or op295 as the output amplifier. r1 10k w r4 20k w r3 10k w +5v ?v 5v ad7303 v out a 10? 0.1? v dd = +5v v dd gnd ad780/ ref192 with v dd = +5v or ad589 with v dd = +3v ref sclk din sync gnd v out v in 0.1? serial interface ext ref r2 20k w figure 31. bipolar operation using the ad7303 the output voltage for any input code can be calculated as follows: v o = [(1 +r 4 /r 3) * ( r 2 / ( r 1 +r 2) * (2 *v ref *d/ 256 )] C r 4 *v ref /r3 where d is the decimal equivalent of the code loaded to the dac and v ref is the reference voltage input. with v ref = 2.5 v, r1 = r3 = 10 k w and r2 = r4 = 20k and v dd = 5 v. v out = (10 d /256) C 5 opto-isolated interface for process control applications the ad7303 has a versatile 3-wire serial interface making it ideal for generating accurate voltages in process control and industrial applications. due to noise, safety requirements or dis- tance, it may be necessary to isolate the ad7303 from the con- troller. this can easily be achieved by using opto- isolators, which will provide isolation in excess of 3 kv. the serial loading structure of the ad7303 makes it ideally suited for use in opto- isolated applications. figure 32 shows an opto-isolated interface to the ad7303 where din, sclk and sync are driven from opto-couplers. in this application the reference for the ad7303 is the internal v dd /2 reference. it is being decoupled at the ref pin with a 0.1 m f ceramic capacitor for noise re duction purposes.
ad7303 C13C rev. 0 sclk v dd 10k w ad7303 din sync sclk v dd ref power +5v regulator v out b v out a agnd 10? 0.1? 0.1? v dd 10k w data v dd 10k w sync figure 32. ad7303 in opto-isolated interface decoding multiple ad7303 the sync pin on the ad7303 can be used in applications to decode a number of dacs. in this application, all dacs in the system receive the same serial clock and serial data, but only the sync to one of the dacs will be active at any one time allow- ing access to two channels in this eight-channel system. the 74hc139 is used as a 2- to 4-line decoder to address any of the dacs in the system. to prevent timing errors from occurring, the enable input should be brought to its inactive state while the coded address inputs are changing state. figure 33 shows a dia- gram of a typical setup for decoding multiple ad7303 devices in a system. enable 74hc139 ad7303 sync din sclk din sclk dgnd coded address 1a 1b 1y0 1y1 1y2 1y3 v cc v dd 1 g ad7303 sync din sclk ad7303 sync din sclk ad7303 sync din sclk figure 33. decoding multiple ad7303 devices in a system ad7303 as a digitally programmable window detector a digitally programmable upper/lower limit detector using the two dacs in the ad7303 is shown in figure 34. the upper and lower limits for the test are loaded to dacs a and b which, in turn, set the limits on the cmp04. if a signal at the v in input is not within the programmed window, a led will indicate the fail condition. ad7303 v dd +5v v out a gnd 0.1? ref v in pass/ fail 1/2 cmp04 1/6 74hc05 fail pass 1k w 0.1? 10? sclk din sync sclk din sync 1k w v out b figure 34. window detector using ad7303 programmable current source figure 35 shows the ad7303 used as the control element of a programmable current source. in this circuit, the full-scale cur- rent is set to 1 ma. the output voltage from the dac is applied across the current setting resistor of 4.7 k w in series with the full-scale setting resistor of 470 w . suitable transistors to place in the feedback loop of the amplifier include the bc107 and the 2n3904, which enable the current source to operate from a min v source of 6 v. the operating range is determined by the oper- ating characteristics of the transistor. suitable amplifiers in- clude the ad820 and the op295, both having rail-to-rail operation on their outputs. the current for any digital input code can be calculated as follows: i = 2 v ref d /(5 e + 3 256) ma 4.7k w 470 w +5v load v source ad7303 v out a 10? 0.1? v dd = +5v v dd gnd ad780/ ref192 with v dd = +5v ref sclk din sync gnd v out v in 0.1? serial interface ext ref ad820/ op295 figure 35. programmable current source
ad7303 C14C rev. 0 ad7303 to 68hc11 interface program source code * portc equ $1003 port c control register * "sync, 0, 0, 0, 0, 0, 0, 0" ddrc equ $1007 port c data direction portd equ $1008 port d data register * "0, 0, 0, sclk, din, 0, 0, 0" ddrd equ $1009 port d data direction spcr equ $1028 spi control register * "spie, spe, dwom, mstr, cpol, cpha, spr1, spr0" spsr equ $1029 spi status register * "spif, wcol, 0, modf, 0, 0, 0, 0" spdr equ $102a spi data register, read buffer, write shifter * * sdi ram variables: din 1 is eight msbs, control byte din 2 is eight lsbs, data byte dac requires 2*8-bit writes din1 equ $00 din byte 1: " int /ext, x, ldac, pdb, pba, a /b, cr1, cr0" din2 equ $01 din byte 2: " db7, db6, db5, db4, db3, db2, db1, db0" * org $c000 start of users ram init lds #$cfff top of c page ram * ldaa #$80 1, 0, 0, 0, 0, 0, 0, 0 * sync is high staa portc initialize port c outputs ldaa #$80 1, 0, 0, 0, 0, 0, 0, 0 staa ddrc sync enabled as output * ldaa #$00 0, 0, 0, 0, 0, 0, 0, 0 * sclk is low, din is low staa portd initialize port d outputs power supply bypassing and grounding in any circuit where accuracy is important, careful consideration of the power supply and ground return layout helps to ensure the rated performance. the printed circuit board on which the ad7303 is mounted should be designed so that the analog and digital sections are separated, and confined to certain areas of the board. if the ad7303 is in a system where multiple devices require an agnd to dgnd connection, the connec- tion should be made at one point only. the star ground point should be established as closely as possible to the ad7303. the ad7303 should have ample supply bypassing of 10 m f in paral- lel with 0.1 m f on the supply located as closely to the package as possible, ideally right up against the device. the 10 m f capaci- tors are the tantalum bead type. the 0.1 m f capacitor should have low effective series resistance (esr) and effective series inductance (esi), like the common ceramic types that provide a low impedance path to ground at high frequencies to handle transient currents due to internal logic switching. the power supply lines of the ad7303 should use as large a trace as possible to provide low impedance paths and reduce the effects of glitches on the power supply line. fast switching sig- nals such as clocks should be shielded with digital ground to avoid radiating noise to other parts of the board, and should never be run near the reference inputs. avoid crossover of digi- tal and analog signals. traces on opposite sides of the board should run at right angles to each other. this reduces the effects of feedthrough through the board. a microstrip technique is by far the best, but not always possible with a double-sided board. in this technique, the component side of the board is dedicated to ground plane while signal traces are placed on the solder side.
ad7303 C15C rev. 0 ldaa #$18 0, 0, 0, 1, 1, 0, 0, 0 * sclk and din enabled as outputs ldaa #$53 staa spcr spi on, master mode, cpol=0, cpha=0, clock rate =e/32 * bsr update update ad7303 output. jmp #$e000 restart. * update pshx save relevant registers. pshy psha * ldaa #$00 control word "0, 0, 0, 0, 0, 0, 0, 0" staa din 1 load both dac a and dac b dac registers from shift register with internal reference selected. ldaa #$aa data word "1, 0, 1, 0, 1, 0, 1, 0" staa din 2 * ldx #din1 stack pointer at first first byte to send via din 1. ldy #$1000 stack pointer at on chip registers. * bclr portc,y $80 assert sync. transfer ldaa 0,x get byte to transfer via spi. staa spdr write to din register to start transfer. * wait ldaa spsr wait for spif to be set to indicate that transfer has been completed. bpl wait spif is the msb of the spcr. spif is automatically reset if in a set state when the status register is read. * inx increment counter for transfer of second byte. cpx #din 2+1 16 bits transferred? bne transfer if not, transfer second byte. *execute instruction bset portc,y $80 bring sync back high. pula restore registers. puly pulx rts return to main program.
ad7303 C16C rev. 0 c2224C12C1/97 printed in u.s.a. outline dimensions dimensions shown in inches and (mm). 8-pin plastic dip (n-8) 8 14 5 0.430 (10.92) 0.348 (8.84) 0.280 (7.11) 0.240 (6.10) pin 1 seating plane 0.022 (0.558) 0.014 (0.356) 0.060 (1.52) 0.015 (0.38) 0.210 (5.33) max 0.130 (3.30) min 0.070 (1.77) 0.045 (1.15) 0.100 (2.54) bsc 0.160 (4.06) 0.115 (2.93) 0.325 (8.25) 0.300 (7.62) 0.015 (0.381) 0.008 (0.204) 0.195 (4.95) 0.115 (2.93) 8-lead soic (so-8) 0.1968 (5.00) 0.1890 (4.80) 8 5 4 1 0.2440 (6.20) 0.2284 (5.80) pin 1 0.1574 (4.00) 0.1497 (3.80) 0.0688 (1.75) 0.0532 (1.35) seating plane 0.0098 (0.25) 0.0040 (0.10) 0.0192 (0.49) 0.0138 (0.35) 0.0500 (1.27) bsc 0.0098 (0.25) 0.0075 (0.19) 0.0500 (1.27) 0.0160 (0.41) 8 0 0.0196 (0.50) 0.0099 (0.25) x 45 8-lead microsoic (rm-8) 8 5 4 1 0.122 (3.10) 0.114 (2.90) 0.199 (5.05) 0.187 (4.75) pin 1 0.0256 (0.65) bsc 0.122 (3.10) 0.114 (2.90) seating plane 0.006 (0.15) 0.002 (0.05) 0.018 (0.46) 0.008 (0.20) 0.043 (1.09) 0.037 (0.94) 0.120 (3.05) 0.112 (2.84) 0.011 (0.28) 0.003 (0.08) 0.028 (0.71) 0.016 (0.41) 33 27 0.120 (3.05) 0.112 (2.84)


▲Up To Search▲   

 
Price & Availability of AD112045Z-0REEL7

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X